Foto del docente

Davide Rossi

Associate Professor

Department of Electrical, Electronic, and Information Engineering "Guglielmo Marconi"

Academic discipline: ING-INF/01 Electronic Engineering

Publications

Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gurkaynak, Frank Kagan; Teman, Adam; Constantin, Jeremy; Burg, Andreas; Miro-Panades, Ivan; Beigne, Edith; Clermidy, Fabien; Flatresse, Philippe; Benini, Luca, Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster, «IEEE MICRO», 2017, 37, Article number: 8065010 , pp. 20 - 31 [Scientific article]

Montagna, Fabio; Benatti, Simone; Rossi, Davide, Flexible, scalable and energy efficient bio-signals processing on the PULP platform: A case study on seizure detection, «JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS», 2017, 7, Article number: 16 , pp. 1 - 19 [Scientific article]Open Access

Gomez, A.; Bartolini, A.; Rossi, D.; Can Kara, B.; Fatemi, H.; Pineda de Gyvez, J.; Benini, L., Increasing the energy efficiency of microcontroller platforms with low-design margin co-processors, «MICROPROCESSORS AND MICROSYSTEMS», 2017, 53, pp. 213 - 228 [Scientific article]

Azarkhish, Erfan; Pfister, Christoph; Rossi, Davide; Loi, Igor; Benini, Luca, Logic-Base Interconnect Design for Near Memory Computing in the Smart Memory Cube, «IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS», 2017, 25, pp. 210 - 223 [Scientific article]

Gautschi, Michael; Schiavone, Pasquale Davide; Traber, Andreas; Loi, Igor; Pullini, Antonio; Rossi, Davide; Flamand, Eric; Gurkaynak, Frank K.; Benini, Luca, Near-Threshold RISC-V Core With DSP Extensions for Scalable IoT Endpoint Devices, «IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS», 2017, 25, pp. 2700 - 2713 [Scientific article]Open Access

Davide Schiavone, Pasquale; Conti, Francesco; Rossi, Davide; Gautschi, Michael; Pullini, Antonio; Flamand, Eric; Benini, Luca, Slow and steady wins the race? A comparison of ultra-low-power RISC-V cores for Internet-of-Things applications, in: Proceedings of 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2017, pp. 1 - 8 (atti di: 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), Thessaloniki, Greece, Greece, 25-27 September 2017) [Contribution to conference proceedings]

Di Mauro, Alfio; Rossi, Davide; Pullini, Antonio; Flatresse, Philippe; Benini, Luca, Temperature and process-aware performance monitoring and compensation for an ULP multi-core cluster in 28nm UTBB FD-SOI technology, in: Di Mauro, Alfio, 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2017, pp. 1 - 8 (atti di: 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), Thessaloniki, Greece, 25-27 Sept. 2017) [Contribution to conference proceedings]Open Access

Rossi, Davide; Loi, Igor; Pullini, Antonio; Benini, Luca, Ultra-Low-Power Digital Architectures for the Internet of Things, in: Rossi, Davide, Enabling the Internet of Things, Cham (ZG), Springer International Publishing, 2017, pp. 69 - 93 [Chapter or essay]

Pullini, Antonio; Rossi, Davide; Haugou, Germain; Benini, Luca, μDMA: An autonomous I/O subsystem for IoT end-nodes, in: 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation, PATMOS 2017, Institute of Electrical and Electronics Engineers Inc., 2017, 2017-, pp. 1 - 8 (atti di: 27th International Symposium on Power and Timing Modeling, Optimization and Simulation, PATMOS 2017, Aristotle University Research Dissemination Center (KEDEA), grc, 2017) [Contribution to conference proceedings]

Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gurkaynak, Frank Kagan; Teman, Adam; Constantin, Jeremy; Burg, Andreas; Miro-Panades, Ivan; Beign, Edith; Clermidy, Fabien; Abouzeid, Fady; Flatresse, Philippe; Benini, Luca, 193 MOPS/mW @ 162 MOPS, 0.32V to 1.15V voltage range multi-core accelerator for energy efficient parallel and sequential digital processing, in: 19th IEEE Symposium on Low-Power and High-Speed Chips, IEEE COOL Chips 2016 - Proceedings, Institute of Electrical and Electronics Engineers Inc., 2016, pp. 1 - 3 (atti di: 19th IEEE Symposium on Low-Power and High-Speed Chips, IEEE COOL Chips 2016, Yokohama Joho Bunka Center (Yokohama Media and Communications Center), jpn, 2016) [Contribution to conference proceedings]

Crescentini, M.; Biondi, M.; Bennati, M.; Alberti, P.; Luciani, G.; Tamburini, C.; Pizzotti, M.; Romani, A.; Tartagni, M.; Bellasi, D.; Rossi, D.; Benini, L.; Marchesi, M.; Cristaudo, D.; Canegallo, R., A 2 MS/s 10A Hall current sensor SoC with digital compressive sensing encoder in 0.16 μm BCD, in: European Solid-State Circuits Conference, IEEE, 2016, 2016-, pp. 393 - 396 (atti di: 42nd European Solid-State Circuits Conference, ESSCIRC 2016, Lausanne, 2016) [Contribution to conference proceedings]

Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gürkaynak, Frank K.; Bartolini, Andrea; Flatresse, Philippe; Benini, Luca, A 60 GOPS/W, -1.8 v to 0.9 v body bias ULP cluster in 28 nm UTBB FD-SOI technology, «SOLID-STATE ELECTRONICS», 2016, 117, pp. 170 - 184 [Scientific article]

Pullini, Antonio; Conti, Francesco; Rossi, Davide; Loi, Igor; Gautschi, Michael; Benini, Luca, A heterogeneous multi-core system-on-chip for energy efficient brain inspired vision, in: Proceedings - IEEE International Symposium on Circuits and Systems, Institute of Electrical and Electronics Engineers Inc., 2016, 2016-, pp. 2910 - 2910 (atti di: 2016 IEEE International Symposium on Circuits and Systems, ISCAS 2016, Montreal's Sheraton Centre, can, 2016) [Contribution to conference proceedings]

Tagliavini, Giuseppe; Marongiu, Andrea; Rossi, Davide; Benini, Luca, Always-on motion detection with application-level error control on a near-threshold approximate computing platform, in: 2016 IEEE International Conference on Electronics, Circuits and Systems, ICECS 2016, Institute of Electrical and Electronics Engineers Inc., 2016, pp. 552 - 555 (atti di: 23rd IEEE International Conference on Electronics, Circuits and Systems, ICECS 2016, mco, 2016) [Contribution to conference proceedings]

Rusci, Manuele; Rossi, Davide; Lecca, Michela; Gottardi, Massimo; Farella, Elisabetta; Benini, Luca, An Event-Driven Ultra-Low-Power Smart Visual Sensor, «IEEE SENSORS JOURNAL», 2016, 16, Article number: 7456200 , pp. 5344 - 5353 [Scientific article]Open Access

Latest news

At the moment no news are available.