Foto del docente

Luca Benini

Full Professor

Department of Electrical, Electronic, and Information Engineering "Guglielmo Marconi"

Academic discipline: ING-INF/01 Electronic Engineering

Publications

M. Loghi;M. Poncino;L. Benini, Cache Coherence Tradeoffs in Shared Memory MPSoCs, «ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS», 2006, 5(2), pp. 383 - 407 [Scientific article]

E. Ficarra; S. Yoon; L. Benini; E. Macii; G. De Micheli, Clinical bioimaging and functional genomics, in: FIMA International Conference on "Models and Methods for Human Genomics", s.l, s.n, 2006, pp. 37 - 37 (atti di: FIMA International Conference on "Models and Methods for Human Genomics", Ayas-Champoluc, Aosta Valley (Italy), January 23-27, 2006) [Abstract]

Stagni Degli Esposti C.; Guiducci C.; Benini L.; Riccò B.; Carrara S.; Samorí B.; Paulus C.; Schienle M.; Augustyniak M.; Thewes R., CMOS DNA Sensor Array With Integrated A/D Conversion Based on Label-Free Capacitance Measurement, «IEEE JOURNAL OF SOLID-STATE CIRCUITS», 2006, 41, pp. 2956 - 2964 [Scientific article]

S. Künzli; F. Poletti; L. Benini; L. Thiele, Combining simulation and formal methods for system-level performance analysis, in: Proceedings of the conference on Design, automation and test in Europe. SESSION: System level performance analysis, LEUVEN, European Design and Automation Association, 2006, pp. 236 - 241 (atti di: Conference on Design, automation and test in Europe, Munich, Germany, 2006) [Contribution to conference proceedings]

S. Murali; R. Tamhankar; F. Angiolini; A. Pullini; D. Atienza; L. Benini; G. De Micheli, Comparison of a Timing-Error Tolerant Scheme with a traditional Re-transmission Mechanism for Networks on Chips, in: Proceedings of System-on-Chip Conference (SOC'06), S.N., s.n, 2006, pp. 27 - 30 (atti di: System-on-Chip Conference (SOC'06), Tampere, Finland, November 2006) [Contribution to conference proceedings]

M. Verma; L. Wehmeyer; R. Pyka; P. Marwedel; L. Benini, Compilation and Simulation Tool Chain for Memory Aware Energy Optimizations, in: Embedded Computer Systems: Architectures, Modeling, and Simulation. 6th International Workshop, SAMOS 2006. Proceeding (Lecture Notes in Computer Science Vol.4017), BERLIN, Springer-Verlag, 2006, pp. 279 - 288 (atti di: Embedded Computer Systems: Architectures, Modeling, and Simulation. 6th International Workshop, SAMOS 2006. Proceedings, Samos, Greece, 17-20 July 2006) [Contribution to conference proceedings]

E. Ficarra; E. Macii; G. De Micheli; L. Benini, Computer-Aided Evaluation of Protein Expression in Pathological Tissue Images, in: Proceedings of the 19th IEEE International Symposium on Computer-Based Medical Systems, s.l, s.n, 2006, pp. 413 - 418 (atti di: 19th IEEE International Symposium on Computer-Based Medical Systems, s.l., 22-23 June 2006) [Contribution to conference proceedings]

F. Angiolini; P. Meloni; S. Carta; L. Benini; L. Raffo, Contrasting a NoC and a traditional interconnect fabric with layout awareness, in: Proceedings of the conference on Design, automation and test in Europe. SESSION: Application-specific network on chip design, LEUVEN, European Design and Automation Association, 2006, pp. 124 - 129 (atti di: Conference on Design, automation and test in Europe, Munich, Germany, 2006) [Contribution to conference proceedings]

C. Nardini; M. Diehn; L Benini; M. D. Kuo, Degree of mass effect by MRI in glioblastoma multiforme can predict the gene expression level of a cell proliferation gene expression program, in: European Congress of Radiology, Book of Abstracts, s.l, s.n, 2006, 16, Suppl.1(atti di: European Congress of Radiology, 2006, Vienna, Austria, 2006) [Abstract]

S. Murali; P. Meloni; F. Angiolini; D. Atienza; S. Carta; L. Benini; G. De Micheli, Designing Application-Specific Networks on Chips with Floorplan Information, in: Proceedings of International Conference on Computer-Aided Design (ICCAD), s.l, s.n, 2006, pp. 355 - 362 (atti di: International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, November 2006) [Contribution to conference proceedings]

S. Murali; P. Meloni; F. Angiolini; D. Atienza; S. Carta; L. Benini; G. De Micheli; L. Raffo, Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips, in: Proceedings of the IFIP VLSI-SOC Conference 2006, s.l, s.n, 2006, pp. 158 - 163 (atti di: IFIP VLSI-SOC Conference 2006, Nice, France, Oct 16-18, 2006) [Contribution to conference proceedings]

D. Atienza; S. Murali; F. Angiolini; L. Benini; G. De Micheli; J.M. Mendias; R. Hermida, Diseño de redes en chip de propósito específico con información de rutado físico, in: XVII Jornadas de Paralelismo, s.l, s.n, 2006, pp. 597 - 602 (atti di: XVII Jornadas de Paralelismo, Albacete, Spain, September 2006) [Contribution to conference proceedings]

A. Chakraborty; K. Duraisami; A. Sathanur; P. Sithambaram; L. Benini; A. Macii; E. Macii; M. Poncino, Dynamic thermal clock skew compensation using tunable delay buffers, in: ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design, PISCATAWAY, NJ, IEEE, 2006, pp. 162 - 167 (atti di: ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design, Tegernsee, Germany, 4-6 Oct. 2006) [Contribution to conference proceedings]

D. Atienza; S. Mamagkakis; F. Poletti; J.M. Mendias; F. Catthoor; L. Benini; D. Soudris, Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems, «INTEGRATION», 2006, 39, Issue 2, pp. 113 - 130 [Scientific article]

P. Babighian; L. Benini; A. Macii; E. Macii, Enabling fine-grain leakage management by voltage anchor insertion, in: Proceedings of the conference on Design, automation and test in Europe. SESSION: Leakage and dynamic power aware logic design, LEUVEN, European Design and Automation Association, 2006, pp. 868 - 873 (atti di: Conference on Design, automation and test in Europe, Munich, Germany, 2006) [Contribution to conference proceedings]

P. Babighian; L. Benini; A. Macii; E. Macii, Enabling fine-grain leakage management by voltage anchor insertion, in: DATE-06: IEEE Design Automation and Test in Europe, PISCATAWAY, NJ, IEEE Computer Society Press, 2006, pp. 126 - 131 (atti di: DATE-06: IEEE Design Automation and Test in Europe, Munich, Germany, March 2006) [Contribution to conference proceedings]

Latest news

At the moment no news are available.