Foto del docente

Luca Benini

Professore ordinario

Dipartimento di Ingegneria dell'Energia Elettrica e dell'Informazione "Guglielmo Marconi"

Settore scientifico disciplinare: ING-INF/01 ELETTRONICA

Pubblicazioni

Filippo Casamassima;Elisabetta Farella;Luca Benini, Synchronization methods for Bluetooth based WBANs, 2013 IEEE International Conference on Body Sensor Networks, in: 2013 IEEE International Conference on Body Sensor Networks, 2013 IEEE Conference Proceedings, 2013, pp. 1 - 6 (atti di: Body Sensor Networks (BSN), 2013 IEEE International Conference on, Cambridge, MA, USA, 6-9 May 2013) [Contributo in Atti di convegno]

Francesco Conti;Andrea Marongiu;Luca Benini, Synthesis-friendly techniques for tightly-coupled integration of hardware accelerators into shared-memory multi-core clusters, in: 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2013 IEEE, 2013, pp. 1 - 10 (atti di: Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2013 International Conference on, Montreal, QC, Sept. 29 2013-Oct. 4 2013) [Contributo in Atti di convegno]

Bartolini A.; Cacciari M. ; Tilli A. ; Benini L., Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller, «IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS», 2013, 24, pp. 170 - 183 [articolo]

Femi A. Aderohunmu;Giacomo Paci;Davide Brunelli;Jeremiah Deng;Luca Benini;Martin Purvis, Trade-offs of Forecasting Algorithm for Extending WSN Lifetime in a Real-World Deployment2013 IEEE International Conference on Distributed Computing in Sensor Systems, in: 2013 IEEE International Conference on Distributed Computing in Sensor Systems, LOS ALAMITOS, CA, 2013 IEEE Conference Proceedings, 2013, pp. 283 - 285 (atti di: 9th IEEE International Conference on Distributed Computing in Sensor Systems (DCoSS), Cambridge, MA, MAY 21-23, 2013) [Contributo in Atti di convegno]

Dimitra Papagiannopoulou;R. Iris Bahar;Tali Moreshet;Maurice Herlihy;Andrea Marongiu;Luca Benini, Transparent and energy-efficient speculation on NUMA architectures for embedded MPSoCsProceedings of the First International Workshop on Many-core Embedded Systems - MES '13, in: Proceedings of the First International Workshop on Many-core Embedded Systems - MES '13, New York, ACM, 2013, pp. 58 - 61 (atti di: 1st International Workshop on Many-Core Embedded Systems, MES 2013, in Conjunction with the 40th Annual IEEE/ACM International Symposium on Computer Architecture, ISCA 2013, Tel-Aviv; Israel, June 23 - 24, 2013) [Contributo in Atti di convegno]

Abbas Rahimi;Andrea Marongiu;Paolo Burgio;Rajesh K. Gupta;Luca Benini, Variation-tolerant OpenMP Tasking on Tightly-coupled Processor ClustersDesign, Automation & Test in Europe Conference & Exhibition (DATE), 2013, in: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, 2013 IEEE Conference Proceedings, 2013, pp. 541 - 546 (atti di: 16th Design, Automation and Test in Europe Conference and Exhibition, DATE 2013, Grenoble; France, 18 March 2013 through 22 March 2013) [Contributo in Atti di convegno]

Daniele Bortolotti;Christian Pinto;Andrea Marongiu;Martino Ruggiero;Luca Benini, VirtualSoC: A Full-System Simulation Environment for Massively Parallel Heterogeneous System-on-Chip, in: 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum, IEEE PRESS, 2013, pp. 2182 - 2187 (atti di: Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), 2013 IEEE 27th International, Cambridge, MA, 20-24 May 2013) [Contributo in Atti di convegno]

M. Magno;L. Benini;C. Spagnol;E. Popovici, Wearable low power dry surface wireless sensor node for healthcare monitoring application, in: 2013 IEEE 9th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), 2013 IEEE, 2013, pp. 189 - 195 (atti di: 2013 IEEE 9th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2013, Lyon; France, 7-9 October 2013) [Contributo in Atti di convegno]

Pietro Mercati;Andrea Bartolini;Francesco Paterna;Tajana Simunic Rosing;Luca Benini, Workload and user experience-aware dynamic reliability management in multicore processorsProceedings of the 50th Annual Design Automation Conference on - DAC '13, in: Proceedings of the 50th Annual Design Automation Conference on - DAC '13, 2013 IEEE Conference Proceedings, 2013, pp. 1 - 6 (atti di: Design Automation Conference (DAC), 2013 50th ACM / EDAC / IEEE, Austin, TX, May 29 2013-June 7 2013) [Contributo in Atti di convegno]

Erfan Azarkhish;Igor Loi;Luca Benini, 3D logarithmic interconnect: Stacking multiple L1 memory dies over multi-core clusters2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), in: 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), NEW YORK, NY, IEEE, 2013, pp. 1 - 2 (atti di: 7th IEEE/ACM International Symposium on Networks-on-Chip (NoCS), Tempe, AZ, APR 21-24, 2013) [Contributo in Atti di convegno]

A.S. Kumar; M. Pawan Kumar ; S. Murali ; V. Kamakoti; L. Benini; G. De Micheli, A buffer-sizing algorithm for network-on-chips with multiple voltage-frequency Islands, «JOURNAL OF ELECTRICAL AND COMPUTER ENGINEERING», 2012, 2012, Article number: 537286, pp. 1 - 12 [articolo]

C. Seiculescu; L. Benini; G. De Micheli, A distributed interleaving scheme for efficient access to WideIO DRAM memory, in: CODES+ISSS '12 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, NEW YORK, ACM, 2012, pp. 103 - 112 (atti di: CODES+ISSS '12 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, Tampere,Finland, 7-12 October 2012) [Contributo in Atti di convegno]

K. Kang; L. Benini; G. De Micheli, A High-throughput and Low-Latency Interconnection Network for Multi-Core Clusters with 3-D Stacked L2 Tightly-Coupled Data Memory, in: IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), NEW YORK, IEEE Press, 2012, pp. 283 - 286 (atti di: IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Santa Cruz, California, USA, October 7-10, 2012) [Contributo in Atti di convegno]

M. R. Kakoee; V. Petrovic; L. Benini, A Multi-banked Shared-L1 Cache Architecture for Tightly Coupled Processor Clusters, in: System on Chip (SoC), 2012 International Symposium on,, NEW YORK, IEEE Press, 2012, pp. 1 - 5 (atti di: System on Chip (SoC), 2012 International Symposium on,, Tampere, Finland, October 11-12, 2012) [Contributo in Atti di convegno]

Porcarelli D.; Brunelli D. ; Magno M. ; Benini L.;, A Multi-Harvester architecture with hybrid storage devices and smart capabilities for low power systems, in: Power Electronics, Electrical Drives, Automation and Motion (SPEEDAM), 2012 International Symposium on, NEW YORK, IEEE Press, 2012, pp. 946 - 951 (atti di: Power Electronics, Electrical Drives, Automation and Motion (SPEEDAM), 2012 International Symposium on, Sorrento, 20-22 June 2012) [Contributo in Atti di convegno]

Ultimi avvisi

Al momento non sono presenti avvisi.